weiqi7777

Modelsim建立UVM环境

0
阅读(11034)

 

      modelsim中建立UVM环境,使用的UVMUVM1.1d

      如果安装的是modelsim 10.4版本的话,软件自动的将UVM的库给编译好了,生成了.dll文件,供UVM验证使用。

      modelsim的安装目录下的 UVM-1.1d/win64下,就有一个uvm_dpi.dll

clip_image002[4]

 

      hello_world.sv这个例子为例,说明验证环境的搭建。在这个文件目录下,有3个文件。

clip_image004[4]

      Hello_world.sv 验证的代码

      Run.bat:  windows运行的脚本

      Sim.do  modelsim运行的脚本文件

 

      对于hello_world.sv,该程序,就是输出一个hello uvm

clip_image006[4]

 

      对于rum.bat,就一句,就是调用modelsim,使用-do选项,当 modelsim启动后,自动执行sim.do脚本。

clip_image008[4]

 

      对于sim.do文件

clip_image010[4]

      代码

set  UVM_DPI_HOME   C:/software/modeltech64_10.4/uvm-1.1d/win64

vlib work

vlog  -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF  hello_world.sv

vsim -c -sv_lib $UVM_DPI_HOME/uvm_dpi   work.hello_world_example

run 100

 

 

      设置环境变量UVM_DPI_HOME,指定modelsim下的UVM1.1dDPI的位置

      建立work library

      编译hello_world.sv代码,通过-L 指定编译需要的几个library

      执行仿真,通过-sv_lib选项,执行UVM1.1duvm_dpi.dll,然后针对于哪一个module进行仿真

      运行100ns

 

      执行,也很简单了,直接对run.bat双击。

clip_image012[4]

      就会调用modelsim,然后开始执行sim.do脚本。      

clip_image014[4]

      最后,就会显示Hello UVM

 

      至此,验证环境搭建成功。后面,就是增加代码文件,然后在sim.do文件中,对增加的代码文件进行编译,然后针对于顶层module进行仿真即可。

 

Baidu
map